Pular para o conteúdo
Visualizando 1 post (de 1 do total)
  • Autor
    Posts
  • #74551
    Anônimo

      Ol?.
      Tenho uma package cuja especifica??o tem declara??o de v?rias constantes utilizadas pelo sistema em outras rotinas. Exemplo de declara??o: CONSTANT_VALUE_COD_ESTAGIARIO CONSTANT VARCHAR2(3) := ‘E’;
      Precisei incluir uma nova constante nesse package e ao recompilar a specification n?o consigo mais acessar o valor das constantes em outras rotinas. Todo procedimento que utiliza a CONSTANT_VALUE_COD_ESTAGIARIO, por exemplo, est? tendo como retorno nulo e n?o ‘E’, que ? o valor que est? na declara??o da constante.
      Algu?m tem id?ia do que pode estar acontecendo?
      J? exclui e recriei, recompilei, criei sin?nimo, dei grant! Nada resolve!!!

    Visualizando 1 post (de 1 do total)
    • Você deve fazer login para responder a este tópico.
    plugins premium WordPress